Francoise von Trapp public
[search 0]
More
Download the App!
show episodes
 
Artwork

1
3D InCites Podcast

Francoise von Trapp

Unsubscribe
Unsubscribe
Monthly+
 
As a semiconductor industry community, 3D InCites brings to life the people, the personalities, and the minds behind heterogeneous integration and related technologies in a uniquely personal way. The goal is to inform key decision-makers about progress in technology development, design, standards, infrastructure, and implementation.The 3D InCites Podcast provides a forum for our community members to discuss all kinds of topics that are important to running a business in the semiconductor ind ...
  continue reading
 
Loading …
show series
 
Send us a text In this episode, Tarak Railkar and Benson Chan join Françoise von Trapp for a preview of The IMAPS International Symposium 2024, which takes place in Boston from September 30 to October 3, 2024. This year's symposium focuses on heterogeneous integration for paradigm-shifting microelectronics and photonics. It will feature a five-trac…
  continue reading
 
Send us a text In this episode, Françoise von Trapp speaks with Bruce Kim, of SurplusGLOBAL, for an update on the semiconductor secondary equipment market, and how the US. and European Chips Acts are impacting it. They also discuss the trend of top-tier OEMS prioritizing the development of innovative technology rather than investing in legacy tools…
  continue reading
 
Send us a text In this episode, Françoise von Trapp and underfill materials expert, Dick Jensen, NAMICS corporation explore the societal and technological challenges that impact microelectronic materials development. The conversation focuses on the evolution of underfill materials development over the past 30 years. They discuss the importance of u…
  continue reading
 
Send us a text This episode was recorded live at SEMICON West 2024 – SEMI’s flagship tradeshow where the global industry gathers to showcase its latest products and technologies; and discuss topics critical to the industry’s growth. Two of these continue to be Sustainability and Workforce Development. Today’s guests will address both these topics. …
  continue reading
 
Send us a text For this 3D InCites Podcast Extra, Françoise von Trapp traveled to Morrisville, North Carolina, to witness Foundry 2.0 in action at NHanced Semiconductors. She speaks with founder and CEO Bob Patti about his vision for the company, which is based on implementing the Foundry 2.0 business model he conceptualized. It involves sourcing d…
  continue reading
 
Send us a text The semiconductor industry supply chain continues to capture attention as general awareness about the importance of semiconductors in our everyday lives grows. The news of global expansion and ongoing delays of new fabs coming online is constant. But have you ever stopped to think how complicated it is to outfit a fab with its highly…
  continue reading
 
Send us a text This week’s episode was recorded live at SEMICON West where 10 of our community members have news to share. The conversation focused on the latest advancements in semiconductor technology, including lithography, packaging, and materials. Speakers discussed the need to reduce power consumption in AI chips, the potential of using inter…
  continue reading
 
Send us a text Recorded live at SEMICON West 2024, SEMI’s flagship tradeshow where the global semiconductor industry gathers to discuss important matters and showcase new products and technology. This episode features Françoise von Trapp’s conversation with Jose Fernandez, Under Secretary of Economic Growth, Energy, and The Environment for the Depa…
  continue reading
 
Send us a text Recorded live at SEMICON West 2024, the flagship tradeshow for SEMI, where the entire industry gathers to showcase their latest products and technologies. Françoise von Trapp interviews Laurie Locascio, Director of NIST and the Under Secretary of Commerce for Standards and Technology to learn more about the US CHIPS and Science Act, …
  continue reading
 
Send us a text Françoise von Trapp and AJ Incorvaia discuss the evolution of EDA tools for 3D integration and design tools for 3D ICs and packaging. They talk about the need for real-time 3D visualization and automation capabilities to enable the design and manufacturing of complex 3D ICs. They also highlighted the growing importance of digital twi…
  continue reading
 
Send us a text In this episode, Françoise von Trapp speaks with Christine King, the world’s first woman CEO of a semiconductor company, about her journey from near destitution to success in a male-dominated industry. Christine recently published a memoir about her journey, called Breaking Through the Silicon Ceiling, which details her journey and p…
  continue reading
 
Send us a text Saxony, Germany, has a strong potential to become a global semiconductor location due to its long history in traditional industries, technological base, vast talent pool, strategic location, and investment in education and new talent. In this episode, Françoise von Trapp speaks with Andreas Lippert, of Saxony Trade and Invest, and Ja…
  continue reading
 
Send us a text Françoise von Trapp talks with ECTC 2024 General Chair, Karlheinz Bock and Program Chair, Michael Mayer about highlights and key takeaways from the 2024 IEEE Electronic Component Technology Conference. (ECTC 2024). Bock and Mayer discussed the growth of ECTC 2024, and how focusing on strategic decisions such as changing the format an…
  continue reading
 
Send us a text This episode features conversations at ECTC 2024 with 11 of 3D InCites community members. You’ll learn about their perspectives on the hottest topics of the times such as thermal management and power issues for AI devices, and glass core substrates versus organic substrates. You’ll also learn about their latest technology advancement…
  continue reading
 
Send us a text Recorded live at the 2024 Electronic Component Technology Conference, this episode features conversations Francoise von Trapp has with Keynote Speaker Keren Bergman, Columbia University and co-founder of Xscape Photonics, and Chet Lennox, of KLA. Bergman explains the potential of photonics in AI applications to improve energy efficie…
  continue reading
 
Send us a text In this preview episode for SEMICON West 2024, Françoise von Trapp and SEMI America’s President Joe Stockunas talk about SEMICON West's Past, Present, and Future. The discussion emphasizes the need for collaboration in the semiconductor industry and the important role SEMI plays in supporting the interests of its global members. Joe …
  continue reading
 
Send us a text Glass has long been explored as an alternative substrate material to organic laminates and silicon. As high-performance computing and chiplets HPC push the boundaries of existing technology, they are demanding innovative packaging solutions, beginning with innovative substrate materials. This is paving the way for glass. In this epis…
  continue reading
 
Send us a text This episode features the winners of this year’s 3D InCites Awards. These included five technology enablement awards, one sustainability award, the Adele Hars Award for DEI, and an award for Best Place to Work. Nils Anspach, of LPKF & Laser Electronics, explains the company’s laser-based deep etching technology for glass substrates i…
  continue reading
 
Send us a text This episode was recorded live at the IMAPS Device Packaging Conference – helping celebrate the event’s 20th year. The record turnout included many of our 3D InCites Community members. Françoise von Trapp spoke with several of them who were exhibiting and presenting, and in some cases, simply attending. Alex Ospina of ACM Research di…
  continue reading
 
Send us a text This episode was recorded live at IMAPS DPC, where the Spring version of the Global Business Council focused on Geopolitics Fueling the Repatriation of the Semiconductor Ecosystem. To get the complete picture of the collaboration happening, Françoise von Trapp speaks with representatives of the government, industry, and academia. Dan…
  continue reading
 
Send us a text This episode was recorded live at the IMAPS Device Packaging Conference in Fountain Hill AZ, where several of the keynote talks focused on chiplet architectures and heterogeneous integration for semiconductor device manufacturing, assembly, test, and packaging. Françoise von Trapp talks with Arvind Kumar, of 3D InCites member company…
  continue reading
 
Send us a text In this episode, recorded live at SEMI ISS Europe, Françoise von Trapp hands over the mic to SEMI Europe President, Laith Altimime, who leads a discussion on the European chip industry’s growth and challenges, and whether the European Chips Act will strengthen Europe towards its 20% vision goal. Panelists include: Manfred Horstmann, …
  continue reading
 
Send us a text This week’s episode was recorded at ISS Europe, in Vienna, where the European semiconductor industries key strategists gathered to plan the path forward and forge a sustainable path to securing 20% of the global semiconductor market. One of the main topics continues to be building a resilient semiconductor supply chain. In the first …
  continue reading
 
Send us a text In honor of International Women’s Day (IWD 2024), 3D InCites partnered with SEMI ISS to bring you this episode on how companies are fostering and implementing DEIB and allyship into their corporate culture. Françoise von Trapp speaks with Nigel Wenden, CEO of WGNSTAR, Laura Matz, CTO of Merck KGAA Darmstadt Germany; and Mike Rosa, CM…
  continue reading
 
Send us a Text Message. The International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ. In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IM…
  continue reading
 
Send us a text In this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry. Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ fr…
  continue reading
 
Send us a Text Message. Françoise von Trapp and SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%. The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also …
  continue reading
 
Send us a text At SEMI ISS, Paul Triolo, Albright Stonebridge Group (ASG) delivered a presentation on the geopolitical headwinds impacting the semiconductor industry in 2024. He explains challenges of industrial policies, export controls, and supply chain perturbations. Triolo is Senior Vice President for China and Technology Policy Lead at ASG, wh…
  continue reading
 
Send us a text For the first few episodes of Season 4 of the 3D InCites Podcast, Françoise von Trapp headed to the SEMI Industry Strategy Symposium, (ISS) where semiconductor industry executives gather to gain and share insight on where the semiconductor industry is headed, and how they can work together to get there. Economic trends, industry mark…
  continue reading
 
Send us a text Once again, Cassandra Melvin, SEMI Europe, takes over the mike from Françoise von Trapp to lead a panel discussion on the Future of Work in the semiconductor industry. We join the panel discussion in progress, as it was part of a session on the Future of Work that took place in Munich during SEMICON Europa. You’ll hear from Flemming …
  continue reading
 
Send us a text In this episode, which was recorded live at SEMICON Europa 2023, Françoise hands over the mike to Cassandra Melvin, Senior Director of Business Development and Operations at SEMI. She led the session during the Fab Manager Forum on How To Cultivate the Workforce of Tomorrow and moderated a panel discussion on Achieving EU Ambitions T…
  continue reading
 
Send us a text In this episode, Françoise von Trapp hands over the mike to imec’s Katrien Marent, who hosted imec’s ITF Towards NetZero at SEMICON Europa. She introduces a panel discussion on Collaborative Strategies and Practical Solutions Toward a More Sustainable Semiconductors Future. The panel kicks off by polling the audience on what they thi…
  continue reading
 
Send us a text This episode features conversations with 3D InCites members who attended or exhibited at SEMICON Europa 2023, which took place in Munich, Germany from November 14-17, 2023. The topic of the week was Shaping a Sustainable $1 Trillion Era. Members weigh in on what their companies are doing to support this effort. They also discuss thei…
  continue reading
 
Send us a text This episode was recorded live from Munich, as the official podcast of SEMICON Europa. The theme of this year’s event is Shaping a Sustainable $1 Trillion Era. Françoise von Trapp talks with some of the keynote speakers about the roles their companies play in achieving this goal. talking with some of the Keynote speakers about the ro…
  continue reading
 
Send us a text In this episode, Françoise von Trapp talks with AT&S’s Markus Leitgeb and Tony Gueli, about the complex world of IC substrates for advanced microelectronics. The conversation focuses on technical and commercial challenges, and how they can be overcome when you work with the right substrate partners. You’ll learn about the driving app…
  continue reading
 
Send us a text This Member Spotlight episode was recorded live at the IMAPS International Symposium. Françoise von Trapp speaks with community members who attended and exhibited about what they were showcasing, and what they learned. John Lannon and Rex Anderson, Micross Components, helped demystify the multiple government funding efforts to onshor…
  continue reading
 
Send us a text In this panel discussion, recorded live at the International Microelectronics and Packaging Society International Symposium, Françoise hands over the mike to Dan Krueger, Honeywell, as he moderates a panel on Diversity in Packaging, Past, Present, and Future. Don’t miss this lively town hall discussion on many important topics in tod…
  continue reading
 
Send us a text In a time when unprecedented industry growth is expected, the microelectronics industry is in the midst of a workforce shortage. To address this, the International Microelectronics and Packaging Society – IMAPS – is increasing its efforts to raise awareness about this rewarding industry through partnerships with universities and high…
  continue reading
 
Send us a text This episode was recorded live at the 2023 IMAPS International Symposium, where the week’s keynote talks focused on different aspects of heterogeneous integration, packaging technology for high-performance computing, and what’s driving these technologies. Françoise von Trapp speaks with three of the keynote speakers who addressed att…
  continue reading
 
Send us a text SEMICON Europa 2023 is only six weeks away, and the 3D InCites podcast will once again be the Official Podcast Partner. There is an exciting lineup of topics and top-notch speakers, all focused on the main theme of shaping a sustainable $1T Era. And for the second time, following the great success of last year's premiere, the Belgian…
  continue reading
 
Send us a text As autonomous vehicles take to the roads, the jury is still out on the readiness of these vehicles in terms of safety. Technologies being used are still in nascent stages, and there is still work to be done before these vehicles can be operated in driverless mode. In this episode, Françoise von Trapp sits down with Gulroz Singh, a re…
  continue reading
 
Send us a text We've made changes to the 3D InCites Awards Program and the 2024 Yearbook! This recording of the recent 3D InCites 411 explains everything you need to know to participate in these programs. In this information session and Q&A you'll learn about: 💡 The new 3D InCites Awards Format and Nomination Process 💡The 2024 Yearbook Opportunitie…
  continue reading
 
Send us a text You are now listening to the 100th Episode of the 3D InCites Podcast! To celebrate, Françoise sits down with Erica Folk and Tarak Railkar, both representatives of the International Microelectronics and Packaging Society – also known as IMAPS. 3D InCites is the official Industry Partner of IMAPS and the Official podcast of the IMAPS S…
  continue reading
 
Send us a text The semiconductor industry is in an interesting position – on one side, the demand is there for it to become a $1T industry sometime in the next 7-10 years. But headwinds – including a current downturn, has many companies putting the brakes on spending. In this episode, Françoise von Trapp talks with Joe Cestari and Martijn Pierik, o…
  continue reading
 
Send us a text This episode of the 3D InCites podcast was recorded live at SEMICON West. Françoise von Trapp speaks with Alex Wei and Omar Ma about the memory challenges facing the semiconductor industry, as AI and machine learning create a need for more advanced Flash Memory and DRAM. As memory specialists who recently joined the UCIe Consortium, …
  continue reading
 
Send us a text This week’s episode dives deep into the world of X-ray inspection and its many uses in today’s high-density 3D heterogeneous integration technologies for semiconductor manufacturing. Françoise von Trapp speaks with subject matter expert, Chris Rand, of Nordson Test and Inspection. You’ll learn the basics – how X-ray inspection is use…
  continue reading
 
Send us a text This episode was recorded live at SEMICON West 2023, where one of the main topics of conversation was the importance of creating a collaborative supply chain. Françoise von Trapp speaks with Bruce Kim, CEO of SurplusGLOBAL, and team members Danny Kim and Rose Lee, about the critical role secondary equipment and parts play in this eco…
  continue reading
 
Send us a text This episode was recorded live at IMAPS CHIPCon, where experts in heterogeneous integration and chiplet-enabled advanced packaging schemes gathered to address some of the semiconductor manufacturing industries most pressing technical and industry-related challenges. Françoise von Trapp speaks with Scott Sikorski, of IBM who demystifi…
  continue reading
 
Send us a text Françoise von Trapp speaks with 3D InCites Member companies who exhibited and or attended SEMICON West 2023. She posed one main question, based on the show’s three key topic areas. What role do they play in helping the semiconductor industry succeed in becoming a $1T industry by 2030, how are they addressing the path to Net Zero, and…
  continue reading
 
Send us a text Françoise von Trapp talks to SEMI President and CEO Ajit Manocha, about the semiconductor industry’s journey on the path to becoming a $1 Trillion and what SEMI is doing to address the headwinds that could prevent that from happening. The semiconductor industry is growing at an amazing rate as demand for computer chips increases in e…
  continue reading
 
Loading …

Quick Reference Guide